巅峰对决:三大顶流半导体厂商高端工艺逐鹿你更看好谁?

  集成电路的成功和普及在很大程度上取决于IC制造商是否有能力继续以相对低的功耗提供更高的性能。随着主流CMOS工艺达到理论、实践和经济极限,降低IC成本不可避免地与一直增长的技术和晶圆厂制造规程紧密相连。

  在代工行业,使用先进的工艺节点更能带来明显的成本竞争优势。2020年,台积电(TSMC)是业界唯一同时使用7nm和5nm工艺节点用于IC制造的企业,此举也使得TSMC每片晶圆的总收入大幅度的增加,达到1634美元。这一数字比GlobalFoundries高66%,是UMC和中芯国际的两倍多。据称,当年曾有16家年收入超过10亿美元的顶级无晶圆IC供应商排队等候使用这一些最先进的工艺制造其最新的设计。除了代工和逻辑IC制造,三星(Samsung)、美光(Micron)、SK海力士(SKHynix)和Kixia等存储器供应商也将先进的工艺用于DRAM和闪存器件的制造,以实现芯片成本和性能的优化。

  然而,纵观整个行业近些年的发展,无论器件类型如何,在巨大的研发投入面前,集成电路行业内目前只有极少数公司有能力持续开发前沿工艺技术。

  65nm、28nm、10nm、7nm……,这些有关半导体技术(工艺)节点的名词正在成为耳熟能详的行业热词,而每一个名词的背后都代表着半导体晶圆厂数十亿甚至数百亿美元的投资。传统上,技术节点常常用来表征晶体管的栅极长度。今天,这些技术节点基本上演变成一个营销名称。

  ▲1999年,英特尔(Intel)、德州仪器(TI)、IBM和TSMC推出180nm工艺节点;

  ▲2017年,三星首次发布了其10nm工艺节点版本;同年,TSMC宣布从2018年开始生产7nm技术节点产品。

  在英特尔2022年投资者会议上,首席执行官帕特·基辛格在演讲中宣布了公司主体业务部门的产品路线图和关键执行里程碑,其中也包括英特尔的代工服务。

  在新工艺方面,英特尔公布的路线年,同时勾勒出该公司未来工艺节点的年度目标,从标准纳米级技术到难以置信的A级(angstrom-class)晶体管。英特尔还透露了A级技术的第一个细节,比如RibbonFET——自FinFET问世十年来的又一个新的晶体管设计,以及PowerVia——一种将晶体管夹在布线层之间的新型背面电源传输技术。

  此外,英特尔还再次更改了其工艺节点的命名方案,新的命名方式将与TSMC等外部代工企业使用的命名相匹配。英特尔的10nm增强型SuperFin现在将改名为“Intel7”,传统的公司名 + “nm”后缀这种技术节点命名方式将不会再使用。为此,英特尔后续所有的技术节点名称也将调整,英特尔的7nm将变为“Intel4”,依此类推。

  其实,英特尔重新命名其工艺节点的决定颇有些无奈。英特尔在10nm节点上的失误导致了一系列的修改和随后三个节点的延迟,同时也失去了在行业内的工艺领导权。尽管在3nm工艺节点上TSMC的确领先英特尔,但英特尔的节点命名方案在某些特定的程度上错误地放大了和TSMC的差距。目前,从基于晶体管密度角度来看,英特尔的10nm更类似于台积电的7nm,而英特尔的7nm与台积电5nm相当,因此做出命名调整还是有意义的。

  随着第12代Intel Core处理器的推出,表明Intel 7已正式量产。研发中的Intel4采用了极紫外(EUV)光刻技术,预计于2022年下半年投入生产,采用该工艺后,每瓦晶体管性能将提高约20%。后续的Intel 3使得每瓦性能进一步提升18%,预计于2023年下半年投入生产。凭借RibbonFET和PowerVia,Intel 20A有望实现每瓦性能提高15%,基于英特尔公布的路线年上半年投入生产准备。Intel 18A则再次将每瓦性能提高10%,预计2024年下半年投入生产。

  依据公司制定的战略目标,到2025年,英特尔有望恢复晶体管每瓦性能的行业领头羊。由于英特尔对其高NA EUV、RibbonFET、PowerVia、Foveros Omni和Direct等技术报有很高的期待,因此其领导者认为,半导体技术创新没有尽头,摩尔定律也没有尽头。

  三星是最大的内存芯片制造商,该公司还经营代工业务,为其他公司制造半导体芯片。今年早一点的时候,三星首开行业先河开始生产3nm芯片(3GAE)。该公司在解决方案中采用了Gate All- Around(GAA)晶体管架构,新的架构比FinFET架构带来了性能和功耗方面的改进,芯片尺寸更小。

  在继续提高3nm GAA解决方案的性能和功率效率的同时,三星还计划在2024年推出第二代3nm芯片(3GAP),并在2025年推出Plus迭代(3GAP+)。根据三星自己释放的信息,其第二代解决方案比第一代解决方案的芯片尺寸小20%,功耗也更低。

  3nm工艺技术引入量产后,三星的下一步骤是:逐渐增强基于GAA的技术,计划在2025年引入2nm工艺,2027年引入1.4nm工艺。届时,其先进半导体的生产能力将比今年增长三倍以上,但三星并没有透露这些先进的解决方案将带来哪些性能上的改进。

  图3:三星用于其3nm技术节点的GAAFET,与5nm FinFET工艺相比,可将功耗降低45%,性能提高23%(图源:Samsung)

  关于GAA芯片技术,为方便大家理解,在这里我们稍作解释。当半导体工艺节点不断微缩,尤其是5nm之后,相继出现了3nm、2nm、1.4nm、1nm。然而,新的问题同时出现,原来的3D FinFET晶体管将无法应对极限微观世界的技术方面的要求,因鳍片距离太近,漏电流变大,物理材料的极限让3D FinFET晶体管的结构很难形成。这时,我们开始频繁地听到GAA这个新名词。

  GAA全称Gate-All-Around,是一种环绕式栅极技术晶体管,也叫做GAAFET。它的概念由比利时的研究人员于1990年在公开发表的文章中首次提出。GAAFET相当于3D FinFET的改良版,这项技术下的晶体管结构又一次发生改变,栅极和漏极不再是鳍片的样子,而是变成了一根根的“小棍子”,垂直穿过栅极,这样,栅极就能实现对源极、漏极的四面包裹,解决了原来因鳍片间距过小带来的问题。

  台积电(TSMC)是全球第一大半导体代工企业,于今年9月份开始批量生产3nm芯片(N3工艺),这是在三星首次开始大规模生产3nm芯片三个月后发生的。预计两家企业将会在快速提高3nm工艺产量上展开激烈竞争,以确保赢得高通(Qualcomm)和英伟达(Nvidia)这样的大客户订单。

  从多家新闻媒体报道的信息看,苹果公司是台积电使用N3工艺进行大规模量产的第一个客户,苹果MacBook Pro的M2 Pro芯片是第一款使用该工艺制造的产品。除了M2 Pro芯片外,iPhone 15 Pro系列的A17仿生芯片,以及用于MacBook Pro的下一代M3芯片,均会使用台积电的N3工艺。台积电的N3将带来比N5更高的全节点性能,包括10%-15%的性能,25%-30%的功耗,以及高达1.7倍的逻辑晶体管密度。为此,它使用了14个以上的极紫外(EUV)光刻层(N5使用最多14个),并将为深紫外(DUV)光刻层引入某些新的设计规则。虽然台积电的N3工艺技术设计用于高性能计算(如CPU、GPU、FPGA、ASIC等)和智能手机,但该节点的工艺窗口比较窄,难以达到芯片研发人员预期的规格。未解决这一问题,台积电又开发了N3E版本的技术,拓宽了工艺窗口。

  在3nm工艺之前,台积电的技术一直领先于三星。但在3nm工艺方面,三星电子凭借GAA结构实现了反超。三星表示,与5nm鳍状场效应晶体管(FinFET)工艺相比,GAA技术能将功耗降低45%,性能提高23%。而台积电的3nm工艺基于FinFET工艺,与5nm工艺相比,预计速度提高约10%至15%,功耗降低30%。

  当然,市场优势的体现是多方面的,技术只是其中之一,关键还要看量产能否达到商业要求。因此,在3nm代工业务中,谁是赢家取决于谁首先达到了预期的量产良率。根据台积电的计划,公司将继续升级3nm工艺,并在2023年年中之后全面启动第二代3nm工艺(N3E)。三星电子计划在2024年推出第二代GAA 3nm工艺。无论如何,在3nm工艺节点上,英特尔很显然已经落后于三星和台积电这两家企业了。

  有关未来的研发计划,台积电在其官网上有公开的表态,大意是这样的:为了保持和加强台积电的技术领头羊,公司计划继续加大研发投入。对于先进的CMOS逻辑,公司的3nm和2nm CMOS节点仍在开发中。此外,公司的探索性研发工作重点将放在超过2nm的节点以及3D晶体管、新存储器和低R互连等领域。

  SEMI在其最新发布的《2025年300mm晶圆厂展望》报告中宣布,全球300mm晶圆厂的产能在2025年将达到新高。预计全球半导体制造商将在2022年至2025年间以近10%的复合平均增长率(CAGR)扩大300mm晶圆厂产能,达到每月920万片的历史上最新的记录。其中,中国300mm前端晶圆厂产能的全球份额将从2021年的19%增加到2025年的23%,达到230万片。届时,中国的300mm晶圆厂产能将接近全球领先的韩国。

  IC Insights表示,到2022年底,半导体行业的资本支出增长将达到21%。这一增长率虽低于去年的35%,也低于今年早一点的时候24%的预测,但必须要格外注意的是,半导体行业资本支出已连续第三年以两位数的百分比持续增长,上一次出现这样一种情况要追溯到1993年至1995年。

  一方面是市场上晶圆产能需求的持续不断的增加,另一方面是资本支出的逐渐萎缩,为何会出现这样的矛盾呢?早一点的时候,IC Insights的分析师曾预计今年半导体行业的资本支出将增至1,904亿美元,但困难的宏观经济条件和一些细分市场出现的产能过剩危机,能够增长21%实属不易。在半导体行业大干快上的当下,资本支出减少这一趋势值得市场参与者们仔细评估。

  在代工市场,能预见的是,台积电将在相当长一段时间内领先于竞争对手。去年3月英特尔提出了“IDM2.0”战略,期望通过对外代工服务盘活企业的资产。目前,英特尔的代工业务Intel Foundry Services(IFS)也公司是营收增长最快的业务。不过,英特尔的首席财务官曾公开表态证实,英特尔的10nm工艺节点不如22nm那么赚钱。三星的代工业务传统上在产能方面要落后于台积电,因为他们更倾向于第一先考虑母公司和战略客户的订单。当然也不能说台积电就是高枕无忧了,未来仍充满着挑战,他们的工程师们必须在N3及以后的技术节点上上保持着持续的技术领导力。

  在技术节点上,尽管使用台积电N3工艺的芯片最快在大多数情况下要等到2023年第一季度,但N3工艺量产很可能就在2022年第四季度实现。虽然三星在3nm技术节点上开始使用GAA结构晶体管,但事实上三星也未能按照计划提前完成。根据三星目前的公开数据,其最早的3nm工艺可能在技术层面仍面临很多不确定性。至于Intel 3,它的量产基本赶不上2022年这班车了。也许我们大家可以寄望于2024年上半年的Intel 20A以及2025年下半年的Intel 18A的进程,也希望英特尔能够借此恢复其技术领先地位。

  *博客内容为网友个人发布,仅代表博主个人自己的观点,如有侵权请联系工作人员删除。